order_bg

махсулот

XCVU9P-2FLGA2104I - Схемаҳои интегралӣ, дарунсохташуда, FPGAs (Массиви дарвозаҳои барномарезишаванда)

тавсифи кӯтоҳ:

Xilinx® Virtex® UltraScale+™ FPGA-ҳо дар синфҳои суръат -3, -2, -1 дастрасанд ва дастгоҳҳои -3E дорои нишондиҳандаҳои баландтарин мебошанд.Дастгоҳҳои -2LE метавонанд дар шиддати VCCINT дар 0.85V ё 0.72V кор кунанд ва ҳадди ниҳоии статикии камтарро таъмин кунанд.Вақте ки дар VCCINT = 0.85V истифода мешавад, бо истифода аз дастгоҳҳои -2LE, тавсифи суръат барои дастгоҳҳои L ба дараҷаи суръати -2I баробар аст.Ҳангоми кор кардан дар VCCINT = 0.72V, иҷрои -2LE ва қувваи статикӣ ва динамикӣ коҳиш меёбад.Хусусиятҳои DC ва AC дар диапазонҳои васеъ (E), саноатӣ (I) ва ҳарбӣ (M) муайян карда мешаванд.Ба истиснои диапазони ҳарорати корӣ ё агар тартиби дигаре қайд нагардида бошад, ҳамаи параметрҳои электрикии DC ва AC барои дараҷаи муайяни суръат якхелаанд (яъне хусусиятҳои вақти дастгоҳи васеъшудаи дараҷаи -1 бо дараҷаи -1 суръати якхелаанд. дастгоҳи саноатӣ).Аммо, дар ҳар як диапазони ҳарорат танҳо дараҷаҳои интихобшудаи суръат ва/ё дастгоҳҳо мавҷуданд.


Тафсилоти маҳсулот

Тегҳои маҳсулот

Хусусиятҳои маҳсулот

TYPE ТАВСИФИ
Категория Схемаи интегралӣ (ICs)

Дохилшуда

FPGAs (Массиви дарвозаҳои барномарезишаванда)

Mfr AMD
Силсила Virtex® UltraScale+™
Баста Табақ
Ҳолати маҳсулот Фаъол
Барномасозии DigiKey Тасдиқ нашудааст
Шумораи лабораторияҳо/CLB 147780
Шумораи унсурҳои мантиқӣ / ҳуҷайраҳо 2586150
Ҳама битҳои RAM 391168000
Шумораи I/O 416
Шиддат - Таъминот 0,825В ~ 0,876В
Навъи васлкунӣ Монтажи рӯизаминӣ
Ҳарорати корӣ -40°C ~ 100°C (TJ)
Баста / парванда 2104-BBGA, FCBGA
Бастаи дастгоҳи таъминкунанда 2104-FCBGA (47,5x47,5)
Рақами маҳсулоти асосӣ XCVU9

Ҳуҷҷатҳо ва ВАО

НАМУДИ ЗАХИРАХО LINK
Варақаҳои маълумот Варақаи маълумотии Virtex UltraScale+ FPGA
Маълумот оид ба муҳити зист Шаҳодатномаи Xiliinx RoHS

Сертификати Xilinx REACH211

Моделҳои EDA XCVU9P-2FLGA2104I аз ҷониби SnapEDA

XCVU9P-2FLGA2104I аз ҷониби Ultra Librarian

Таснифоти экологӣ ва содирот

АТРИБУТ ТАВСИФИ
Ҳолати RoHS ROHS3 мувофиқ
Сатҳи ҳассосият ба намӣ (MSL) 4 (72 соат)
ECCN 3A001A7B
HTSUS 8542.39.0001

 

FPGAs

Принсипи фаъолият:
FPGAҳо консепсияеро истифода мебаранд, ба монанди массиви ячейкаи мантиқӣ (LCA), ки дар дохили он аз се қисм иборат аст: Блоки мантиқии танзимшаванда (CLB), блоки баромади вуруд (IOB) ва пайвасти дохилӣ.Массивҳои дарвозаҳои саҳроӣ барномарезишаванда (FPGAs) дастгоҳҳои барномарезишаванда бо меъмории дигар аз схемаҳои мантиқии анъанавӣ ва массивҳои дарвозаҳо ба монанди дастгоҳҳои PAL, GAL ва CPLD мебошанд.Мантиқи FPGA тавассути бор кардани ячейкаҳои хотираи статикии дохилӣ бо додаҳои барномарезишуда амалӣ карда мешавад, арзишҳои дар ҳуҷайраҳои хотира нигоҳ дошташуда вазифаи мантиқии ячейкаҳои мантиқӣ ва тарзи пайвастшавии модулҳоро ба ҳамдигар ё ба I/ муайян мекунанд. О.Қиматҳои дар ячейкаҳои хотира нигоҳ дошташуда вазифаи мантиқии ячейкаҳои мантиқӣ ва тарзи пайвастшавии модулҳоро бо ҳамдигар ё ба I/O-ҳо ва дар ниҳоят вазифаҳоеро, ки дар FPGA амалӣ карда мешаванд, муайян мекунанд, ки имкон медиҳад барномасозии номаҳдуд .

Тарҳрезии чип:
Дар муқоиса бо дигар намудҳои тарроҳии чипҳо, одатан нисбат ба микросхемаҳои FPGA ҳадди баландтар ва ҷараёнҳои асосии тарроҳӣ талаб карда мешаванд.Махсусан, тарроҳӣ бояд бо схемаи FPGA зич алоқаманд бошад, ки ба миқёси васеътари тарҳрезии чипи махсус имкон медиҳад.Бо истифода аз Matlab ва алгоритмҳои махсуси тарроҳӣ дар C, бояд ба табдилдиҳии ҳамвор дар ҳама самтҳо ноил шудан мумкин бошад ва ба ин васила мутмаин шавад, ки он ба тафаккури асосии тарроҳии чипи ҷорӣ мувофиқат кунад.Агар ин тавр бошад, пас одатан зарур аст, ки ба ҳамгироии муназзами ҷузъҳо ва забони тарроҳии мувофиқ диққат диҳед, то тарҳи чипи қобили истифода ва хондашавандаро таъмин кунад.Истифодаи FPGA имкон медиҳад, ки ислоҳи тахта, моделсозии код ва дигар амалиётҳои тарроҳии марбута барои кафолат додани он, ки коди ҷорӣ ба тариқи навишта шудааст ва ҳалли тарроҳӣ ба талаботи мушаххаси тарроҳӣ мувофиқат кунад.Илова бар ин, алгоритмҳои тарроҳӣ бояд барои оптимизатсияи тарҳрезии лоиҳа ва самаранокии кори чип афзалият дода шаванд.Ҳамчун тарроҳ, қадами аввал сохтани як алгоритми мушаххасест, ки рамзи чип бо он алоқаманд аст.Сабаб дар он аст, ки рамзи қаблан тарҳрезишуда барои таъмини эътимоднокии алгоритм кӯмак мекунад ва тарҳи умумии чипро ба таври назаррас оптимизатсия мекунад.Бо ислоҳи пурраи тахта ва санҷиши симулятсия, имкон дорад, ки вақти сикли ҳангоми тарҳрезии тамоми чип дар манбаъ кам карда шавад ва сохтори умумии сахтафзори мавҷуда оптимизатсия карда шавад.Ин модели нави тарроҳии маҳсулот аксар вақт, масалан, ҳангоми таҳияи интерфейсҳои сахтафзори ғайристандартӣ истифода мешавад.

Мушкилоти асосӣ дар тарҳрезии FPGA шиносоӣ бо системаи сахтафзор ва захираҳои дохилии он, кафолат додани он, ки забони тарроҳӣ ҳамоҳангсозии муассири ҷузъҳо ва беҳтар кардани хониш ва истифодаи барномаро фароҳам меорад.Ин инчунин ба тарроҳон талаботи баланд мегузорад, ки барои қонеъ кардани талабот бояд дар лоиҳаҳои сершумор таҷриба пайдо кунад.

 Тарҳрезии алгоритм бояд ба оқилона диққат диҳад, то анҷоми ниҳоии лоиҳаро таъмин кунад, роҳи ҳалли мушкилотро дар асоси вазъияти воқеии лоиҳа пешниҳод кунад ва самаранокии амалиёти FPGA-ро беҳтар кунад.Пас аз муайян кардани алгоритм бояд барои сохтани модул оқилона бошад, то дертар тарҳрезии кодро осон кунад.Рамзи пешакӣ тарҳрезишуда метавонад дар тарҳрезии код барои баланд бардоштани самаранокӣ ва эътимод истифода шавад.Баръакси ASIC-ҳо, FPGA-ҳо давраи кӯтоҳтари рушд доранд ва онҳоро бо талаботи тарҳрезӣ барои тағир додани сохтори сахтафзор муттаҳид кардан мумкин аст, ки метавонад ба ширкатҳо барои зуд ба кор андохтани маҳсулоти нав ва қонеъ кардани ниёзҳои таҳияи интерфейси ғайристандартӣ ҳангоми пухта нашудани протоколҳои иртиботӣ кӯмак кунад.


  • гузашта:
  • Баъдӣ:

  • Паёми худро дар ин ҷо нависед ва ба мо бифиристед